=== Add XDC Brush to SyntaxHighlighter Evolved === Contributors: mseminatore Donate link: https://www.paypal.com/cgi-bin/webscr?cmd=_s-xclick&hosted_button_id=26QPDTC76PBLA&source=url Tags: syntaxhighlighter, xdc Requires at least: 4.7 Tested up to: 6.1.1 Stable tag: 1.0.3 Requires PHP: 7.0 License: GPLv3 License URI: https://github.com/mseminatore/syntaxhighlighter-xdc/blob/master/LICENSE This is a WordPress Plugin that adds support for Xilinx Design Constraint (XDC) files to the SyntaxHighlighter Evolved plugin.